[IC]Synopsys系列软件安装

Synopsys系列软件的安装教程,Install,SCL,DC,VCS,VERDI

安装Installer工具

  • Synopsys 的相关工具都是通过 Synopsys Installer 工具安装的,所以在安装 DC 之前,首先需要安装 Installer 工具。
  1. 在 Linux 下创建如下路径,用于存放安装包(注意和自己环境匹配):
cd /home/zzh
mkdir -p synopsys_tools/install_pkg
cd ./synopsys_tools/install_pkg
mkdir SynopsysInstaller_v5.0
  1. 解压SynopsysInstaller_v5.0.run文件复制到安装包存放路径下:
/home/zzh/synopsys_tools/install_pkg/SynopsysInstaller_v5.0
  1. 使用超级权限运行软件,安装过程中会询问安装路径,此处我保持了默认:
sudo ./SynopsysInstaller_v5.0.run


4. 安装完成后,会在当前路径下生成一个脚本文件setup.sh,直接运行该文件,会出现如下error。这个问题是缺少依赖库,先查找这个库出自哪个安装包,然后进行安装。安装过程中会有提示,输入“y”回车就行。

./setup.sh
repoquery --nvr --whatprovides libXss.so.1
sudo yum install libXScrnSaver-1.2.2-6.1.el7


5. 再次运行setup.sh脚本,能够顺利出现 Synopsys Installer的启动界面,表示安装成功。

安装SCL工具

  • SCL (Synopsys Common License) 软件为 Synopsys License 统一管理工具。该软件破解完成后,Synopsys 其他相关工具的安装无需再破解,只需要安装对应工具并指定相关 PATH 变量就可以直接使用。此过程虽然有些麻烦,但可谓是一劳永逸。

安装SCL

  1. 创建SCL文件夹。
cd /home/zzh/synopsys_tools
mkdir SCL_2018
  1. scl_v2018.06-SP1文件夹复制到Linux安装包路径下。
/home/zzh/synopsys_tools/install_pkg
  1. 切换到synopsysinstaller_v5.0的安装路径,运行setup.sh,点击Start按钮。
cd /home/zzh/synopsys_tools/install_pkg/SynopsysInstaller_v5.0
./setup.sh 
  1. 设置Site Administrator,其他保持默认值,点击Next
  2. 在安装包选择路径,Source设置为SCL的安装包路径,点击Next
  3. 接着设置SCL安装路径,选择先前生成的安装路径文件夹/home/zzh/synopsys_tools/SCL_2018,点击Next
  4. 勾选需要安装的软件,即SCL,点击 Next
  5. 软件会再次确认相关的安装信息,确认没有问题,点击 Next;接着,再授权开始安装SCL工具:
  6. 等待安装过程的完成,下图为安装完成的界面,点击Finish即可:
  7. 因为安装完成后还未破解版本,所以会出现以下界面,直接点击Dismiss 即可。
  8. 其他synopsys软件也是这样步骤,依次安装完就行!

License 生成

  1. Linux 系统下输入以下命令,获取hostnameHWaddrether信息。
hostname
ifconfig -a


2. 在Win下解压scl_keygen_2030.7z,并运行scl_keygen.exe

3. 将HOST Name 改为hostname 中的值,我在此处改为localhost.localdomain ;将 Host ID DaemonHOST ID Feature 改为中的 HWaddrether值,Port 端口保持默认。点击 Generate

2. Generate 完成后,该路径下会产生一个名字为 Synopsys.dat的文件。文本文档方式打开,修改第二行数据路径,需与LinuxSCL的安装路径匹配。

3. 将修改后的Synopsys.dat文件,复制到SCL安装路径下的/admin/license文件夹。

/home/zzh/synopsys_tools/SCL_2018/scl/2018.06-SP1/admin/license

环境设置

  1. 在 Linux 系统的/home/zzh (对应路径 ~)路径下打开 .bashrc文件,按o键可以新建一方对文件进行修改,Esc + :+ wq退出并保存修改之后的文件。
ls -a
vim ~/.bashrc
  1. 在末尾增加以下内容,注意安装路径、hostname 以及端口号的对应。
#Synopsys
export SCL_HOME=/home/zzh/synopsys_tools/SCL_2018/scl/2018.06-SP1

#SCL
PATH=$PATH:$SCL_HOME/linux64/bin
export VCS_ARCH_OVERRIDE=linux

#license
export LM_LICENSE_FILE=/home/zzh/synopsys_tools/SCL_2018/scl/2018.06-SP1/admin/license/Synosys.dat
export [email protected]
alias lmg_synopsys="lmgrd -c /home/zzh/synopsys_tools/SCL_2018/scl/2018.06-SP1/admin/license/Synopsys.dat"

export PATH


3. 执行如下指令,source 脚本 .bashrc,并输出 PATH 变量,可见 PATH 中增加了安装路径信息。

source .bashrc
echo $PATH

激活

  1. 使用以下命令,设置设置开放端口,端口号需要与上述步骤中保持一致。
firewall-cmd --zone=public --add-port=27000/tcp --permanent
firewall-cmd --reload


2. 安装 Linux LSB (Linux Standards Base),LSB 是一种 Linux 系统标准,制定了应用程序与运行环境之间的二进制接口。

sudo yum install redhat-lsb.i686
  1. 使用 lmg_synopsys 命令进行激活,观察打印信息,无报错即为激活成功,后续每次开机后,均需进行激活。

开机自启动

  • 每次开机都需要手动输入“lmg_synopsys”命令激活才能使用 synopsys工具,甚是麻烦。故可将该软件加入自启动脚本
  1. 进入到/etc/rc.d目录,打开rc.local文件,没有的可以新建;
cd /etc/rc.d
sudo gvim rc.local
  1. 填入自启动内容,最后保存退出(wq!);
## lmgrd自启动 ##
## [lmgrd的路径] -c [Synopsys.dat的路径]
/home/zzh/synopsys_tools/SCL_2018/scl/2018.06-SP1/linux64/bin/lmgrd -c /home/zzh/synopsys_tools/SCL_2018/scl/2018.06-SP1/admin/license/Synopsys.dat


3. 使用reboot命令重启测试是否能开机自激活,如果不能确认下那两个路径是否有问题;其次为rc.local添加可执行权限;

sudo chmod +x rc.local

安装DC软件

  • Design Compiler为Synopsys公司__逻辑合成工具__。Design Compiler 系列产品通过其完整的 RTL 综合和测试解决方案,最大化生产效率。

安装DC

  1. 将软件安装文件夹dc_syn_vO-2018.06-SP1复制到安装包存放路径下:
/home/zzh/synopsys_tools/install_pkg
  1. 避免安装过程中的权限问题,将synopsys_tools文件夹访问权限全部打开:
sudo chmod 777 /home/zzh/synopsys_tools
  1. 切换到synopsysinstaller_v5.0的安装路径,运行setup.sh,点击Start按钮
cd /home/zzh/synopsys_tools/install_pkg/SynopsysInstaller_v5.0
./setup.sh 


4. 设置Site Administrator,其他保持默认值,点击Next:

5. 将Source设置为DC的安装包路径,点击Next:

6. 接着设置DC安装路径,我设置的路径为/home/zzh/synopsys_tools/DC_2018,点击Next:

7. 勾选需要安装的软件,即DC,点击 Next:

8. 软件会再次确认相关的安装信息,确认没有问题,点击 Next;接着,再授权开始安装DC工具:
9. 等待安装过程的完成,下图为安装完成的界面,点击Finish即可:

10. 因为安装完成后还未破解版本,所以会出现以下界面,直接点击Dismiss 即可。

11. 其他synopsys软件也是这样步骤,依次安装完就行!

环境设置

  1. 在 Linux 系统的/home/zzh (对应路径 ~)路径下打开 .bashrc文件,按o键可以新建一方对文件进行修改,Esc + :+ wq退出并保存修改之后的文件。
ls -a
vim ~/.bashrc
  1. 在末尾增加以下内容,注意安装路径、hostname 以及端口号的对应。其他synopsys软件的环境变量添加也是类似于DC软件
#Synopsys
export DC_HOME=/home/zzh/synopsys_tools/DC_2018/syn/O-2018.06-SP1

#DC
PATH=$PATH:$DC_HOME/bin
alias dc_shell="dc_shell"

export PATH


3. 执行如下指令,source 脚本 .bashrc,并输出 PATH 变量,可见 PATH 中增加了安装路径信息。

source .bashrc
echo $PATH

启动

  1. 执行dc_shell命令,如果报缺少相关库或库文件等,按响应缺失项安装即可。查找相关依赖的命令如下
yum provides   缺失项


2. 本次启动时缺少 libtiff.so.3 库文件。这是因为 DC 调用的库文件版本相对较老。找到最新版本的库文件,并切换到对应目录下,直接复制或链接到当前Linux的对应版本,并修改名字即可。

cd /usr/lib64
sudo ln -s libtiff.so.5 libtiff.so.3  
  1. 本次启动时还缺少 libmng.so.1 库文件,进行安装。
such yum install libmng -y
  1. 本次启动时还缺少libpng12.so.0 库文件,进行安装。
sudo yum install libpng12
  1. 再次运行dc_shell命令,即可看到启动界面。
  2. 简单使用help命令,获取 DC 内部相关 get* 命令,初步验证安装成功!

安装VSC软件

  • VCS是__编译型Verilog模拟器__,它完全支持OVI标准的Verilog HDL语言、PLI和SDF。VCS软件又有VCS_MX和VCS之分。VCS_MX为mixed hdl仿真器,支持vhdl+verilog+sv的混合仿真。VCS则是纯verilog的,当然,目前VCS也是支持sv的。它们在功能上唯一的区别在于对vhdl的支持。

安装VSC

  1. VSC的安装过程同DC的安装过程,各种文件夹的创建也类似,这里就不再过多赘述。
  2. VSC的安装包名为vcs_vO-2018.09-SP2

环境设置

  1. 在 Linux 系统的/home/zzh (对应路径 ~)路径下打开 .bashrc文件,按o键可以新建一方对文件进行修改,Esc + :+ wq退出并保存修改之后的文件。
ls -a
vim ~/.bashrc
  1. 在末尾增加以下内容,注意安装路径、hostname 以及端口号的对应。其他synopsys软件的环境变量添加也是类似于DC软件
#Synopsys
export VCS_HOME=/home/zzh/synopsys_tools/VCS_2018/vcs/O-2018.09-SP2
export DVE_HOME=/home/zzh/synopsys_tools/VCS_2018/vcs/O-2018.09-SP2

#VCS
PATH=$PATH:$VCS_HOME/bin
alias vcs="vcs"

#DVE
PATH=$PATH:$DVE_HOME/gui/dve/bin
alias dve="dve"

export PATH


3. 执行如下指令,source 脚本 .bashrc,并输出 PATH 变量,可见 PATH 中增加了安装路径信息。

source .bashrc
echo $PATH

启动

  1. 执行dve -mode64命令,如果报缺少相关库或库文件等,按响应缺失项安装即可。查找相关依赖的命令如下
yum provides   缺失项
  1. 如果正常运行,则会打开仿真界面,证明安装成功。

安装Verdi软件

  • Verdi __自动化调试系统__是 Verdi SoC 调试平台的核心,可为所有设计和验证流程提供全面的调试功能。它包括强大的技术,可帮助您理解复杂和不熟悉的设计行为,自动化繁琐的调试流程,统一各种复杂的设计环境。

安装Verdi

  1. Verdi的安装过程同DC的安装过程,各种文件夹的创建也类似,这里就不再过多赘述。
  2. Verdi的安装包名为verdi_vO-2018.09-SP2

环境设置

  1. 在 Linux 系统的/home/zzh (对应路径 ~)路径下打开 .bashrc文件,按o键可以新建一方对文件进行修改,Esc + :+ wq退出并保存修改之后的文件。
ls -a
vim ~/.bashrc
  1. 在末尾增加以下内容,注意安装路径、hostname 以及端口号的对应。其他synopsys软件的环境变量添加也是类似于DC软件
#Synopsys
export VERDI_HOME=/home/zzh/synopsys_tools/VERDI_2018/verdi/Verdi_O-2018.09-SP2
export LD_LIBRARY_PATH=/home/zzh/synopsys_tools/VERDI_2018/verdi/Verdi_O-2018.09-SP2/share/PLI/VCS/linux64

#VERDI
PATH=$PATH:$VERDI_HOME/bin
alias verdi="verdi"

export PATH


3. 执行如下指令,source 脚本 .bashrc,并输出 PATH 变量,可见 PATH 中增加了安装路径信息。

source .bashrc
echo $PATH

启动

  1. 执行dve -mode64命令,如果报缺少相关库或库文件等,按响应缺失项安装即可。查找相关依赖的命令如下
yum provides   缺失项
  1. 如果正常运行,则会打开仿真界面,证明安装成功。

搭建属于自己的数字IC EDA环境(三):安装EDA工具(vcs2018、verdi2018等)IC工具以及运行第1个工程